できないことはできない

ただのメモの置き場所

2019-03-25から1日間の記事一覧

numeric_stdでstd_logic_vectorからunsigned変換

AR# 45213 VHDL で STD_LOGIC_VECTOR を整数に変換する方法 https://japan.xilinx.com/support/answers/45213.html ↑でコンパイルが通らなかったので、↓でやったら通った。 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; -------(…